跟着社会的开展,电梯的运用越来越遍及,对电梯功用的要求也不断进步,相应地其操控办法也在不断发生改变。关于电梯的操控,传统的办法是运用继电器-接触器操控体系进行操控,进入全微机化操控的年代,微型计算机在电梯操控上的运用日益广泛。电梯的微机化操控主要有以下几种办法:①PLC操控;②单板机操控;③单片机操控;④单微机操控;⑤多微机操控;⑥人工智能操控。跟着EDA技能的快速开展,CPLD/FPGA因其高速处理信号的才能、可在线编程、易于完结、开发周期短、便于保护等长处,使FPGA已广泛运用于电子规划操控的各个方面。本文便是运用一片Altera公司的EP1K30TC144为操控中心,以VHDL编程言语为根底进行了电梯操控器的规划,并做了仿真。
1 体系功用简介
电梯操控器[1]的功用:每层电梯入口处设有上下恳求开关;电梯内设有乘客抵达层次的停站恳求开关;电梯地点方位指示设备及电梯运转形式指示设备;电梯每分钟升降一层楼。电梯抵达有停站恳求的楼层后,通过5 s电梯开门,开门指示灯亮,开门10 s后电梯门封闭,电梯持续运转,直到运转完最终一个恳求信号后停在当时层;能回忆电梯表里一切恳求信号,并依照电梯运转规矩顺次呼应,每个信号保存至履行后消除。
电梯运转规矩:遵从方向优先的准则,电梯上升时,只呼应比电梯地点方位高的上楼恳求信号,由下到上逐一履行,直到最终一个上楼恳求履行结束,如更高层有恳求下楼信号,则直接上升到有下楼恳求的最高层,然后进入下楼状况。电梯下降时,与上升状况规矩相反。
输入信号界说:
体系复位信号:reset,高电平有用;
电梯入口处一层、二层的上楼恳求开关:upone、uptwo;
电梯入口处二层、三层的下楼恳求开关:downtwo、downthree;
电梯内部抵达楼层的停站恳求开关:one、two、three;
一切输入信号的规定为:1表明有恳求,0表明无恳求;
输出信号界说:
电梯外部上升和下降恳求指示灯:lightup和lightdown,这些信号与upone、uptwo、downtwo和downthree信号相对应;
电梯内部乘客抵达楼层的停站恳求灯:arr,该信号与one、two和three相对应;
电梯地点楼层指示:p表明电梯在对应楼层;
电梯的运转状况:lightdown指示向下运转,lightup向上运转,arr指示电梯的开关门状况及是否抵达楼层。
2 体系规划完结
2.1 体系整体框图
体系整体框图如图1所示。
2.2顶层电路的规划
纵观各种根据FPGA的电梯操控器,很少有人介绍并运用结构体的结构描绘办法。即在多层次的规划中,高层次的规划模块调用低层次的规划模块,或许直接运用门电路规划单元来完结一个杂乱的逻辑电路的描绘办法。结构描绘办法最能进步规划功率,它可以将已有的规划效果方便地运用到新的规划中去。
本体系的顶层电路规划就选用结构体的结构描绘办法。易于完结,易于纠错,易于修正程序。
上层模块源程序[4]及注释:
library ieee;
use ieee.std_logic_1164.all;
entity total5 is
port(uo,ut,dt,dth:in std_logic–一、二、三层楼电梯外的上下楼恳求键。
o,t,th:in std_logic;–一、二、三层电梯里的按键。
pres:in std_logic;–reset信号。
clk:in std_logic;–时钟信号,1 MHz。
lightout:out std_logic_vector(6 downto 0);–数码管的输入信号。
lu,ld:out std_logic;–电梯上升,下降的灯。
arr:out std_logic);–开门的灯。
end;
architecture a of total5 is
signal templ0,templ2:std_logic;
signal templ1:integer range 3 to 1;
Signal
temp1,temp2,temp3,temp4,temp5,temp6,temp7:std_logic;
component bigmain–调用电梯操控模块。
port(upone,uptwo,downtwo,downthree
:in std_logic;
one,two,three:in std_logic;
reset:in std_logic;
clk,clk2:in std_logic;
p:out integer range 3 to 1;
lightup,lightdown:out std_logic;
arrive:out std_logic);
end component;
component fangdou –调用防抖动模块。
port(clk:in std_logic;
key:in std_logic;
keyout:out std_logic);
end component;
component fenpin–调用分频器模块。
port(clk:in std_logic;
clk1,clk2:out std_logic);
end component;
component display–调用译码显现模块。
port(clk :in std_logic;
light:in integer range 3 to 1;
segout:out std_logic_vector(6 downto 0));
end component;
begin
u1:fenpin port map(clk,templ0,templ2);
u4:fangdou port map(templ0,uo,temp1);
u5:fangdou port map(templ0,ut,temp2);
u6:fangdou port map(templ0,dt,temp3);
u7:fangdou port map(templ0,dth,temp4);
u8:fangdou port map(templ0,o,temp5);
u9:fangdou port map(templ0,t,temp6);
u10:fangdou port map(templ0,th,temp7);
u11:bigmain port map(temp1,temp2,temp3,
temp4,temp5,temp6,temp7,pres,clk,templ2,
templ1,lu,ld,arr);
u12:display port map(clk,templ1,lightout);
end;
2.3主模块规划
操控模块是整个规划的中心部分,本规划选用状况机[2]的办法来完结。根据电梯的功用要求,这儿将电梯的作业分为10个状况。状况机如图2所示。(1)操控模块有两个进程:k1操控输入,不管电梯在什么状况均能接纳用户的输入恳求,该进程由1 MHz的时钟触发;k2操控电梯的升、降、逗留,该程序由1 Hz的时钟触发。(2)进程k1是由1MHz的时钟作为触发沿,不断地检测用户是否有输入恳求,关于一、二、三层信号,别离有flag1、flag2、flag3和clear1、clear2、clear3两套标志位来操控输入恳求是否有用,是否应该对标志位清零。程序有两个表明电梯的状况:一是方位状况,二是运转状况。
3 电梯操控体系仿真
电梯操控体系的仿真[3]波形如图3所示,由仿真波形可以看出,当电梯的外部呈现2楼下楼请求downtwo时,电梯运转p到2楼,开门等候,再关门;当电梯的内部有停3楼three请求时,电梯运转到3楼,开门等候,再关门;假如电梯的外部持续有1楼上楼请求upone,电梯从3楼下降到1楼,开门等候,然后关门。电梯方位的改变及其运转和开始的规划要求完全符合。
本体系是根据EP1K30TC144的一个多楼层中单个载客箱的电梯操控器,该操控器可操控电梯完结3个楼层的载客服务(和多楼层的操控机理相同,可扩展至恣意楼层),并且遵从方向优先的准则,可以提早封闭电梯门和推迟封闭电梯门,供给电梯运转的开关操控按钮,一起指示电梯的运转状况、楼层间的运转时刻以及电梯地点楼层的等候时刻。电梯对用户的呼应率十分高,且呼应的时刻比较短。电梯在修理中止状况时可以进入省电形式,可以节约很多电能。